order_bg

mga produkto

LCMXO2-256HC-4TG100C Orihinal at Bago na May Competitive Presyo sa Stock Supplier ng IC

Maikling Paglalarawan:

Ang Complex Programmable Logic Device (CPLD) ay isang application-specific Integrated Circuit (ASIC) sa LSI (Large Scale Integrated Circuit) Integrated Circuit).Ito ay angkop para sa control intensive digital system design, at ang delay control nito ay maginhawa.Ang CPLD ay isa sa pinakamabilis na lumalagong mga device sa mga integrated circuit.
Mga bahagi ng CPLD
Ang CPLD ay isang kumplikadong programmable logic device na may malakihang sukat at kumplikadong istraktura, na kabilang sa hanay ng mga malalaking integrated circuit.

 


Detalye ng Produkto

Mga Tag ng Produkto

Mga katangian ng produkto

Pbfree Code Oo
Rohs Code Oo
Bahagi Life Cycle Code Aktibo
Ihs Manufacturer LATTICE SEMICONDUCTOR CORP
Code ng Bahagi ng Package QFP
Paglalarawan ng Pakete LFQFP,
Bilang ng Pin 100
Abutin ang Compliance Code sumusunod
ECCN Code EAR99
HTS Code 8542.39.00.01
Tagagawa ng Samacsys Lattice Semiconductor
Karagdagang Tampok GUMAGANA RIN SA 3.3 V NOMINAL SUPPLY
JESD-30 Code S-PQFP-G100
JESD-609 Code e3
Ang haba 14 mm
Moisture Sensitivity Level 3
Bilang ng mga Dedicated Input  
Bilang ng I/O Lines  
Bilang ng mga Input 55
Bilang ng mga Output 55
Bilang ng mga Terminal 100
Operating Temperature-Max 85 °C
Operating Temperatura-Min  
Organisasyon 0 NAKA-DEDICATED NA INPUT, 0 I/O
Function ng Output MAGKAKAHALO
Package Body Material PLASTIK/EPOXY
Code ng Package LFQFP
Package Equivalence Code TQFP100,.63SQ
Hugis ng Pakete SQUARE
Estilo ng Package FLATPACK, LOW PROFILE, FINE PITCH
Paraan ng Pag-iimpake TRAY
Peak Reflow Temperature (Cel) 260
Mga Power Supply 2.5/3.3 V
Uri ng Programmable Logic FLASH PLD
Pagkaantala ng Pagpapalaganap 7.36 ns
Katayuan ng Kwalipikasyon Hindi Kwalipikado
Nakaupo Taas-Max 1.6 mm
Supply Voltage-Max 3.462 V
Supply Boltahe-Min 2.375 V
Supply Voltage-Nom 2.5 V
Ibabaw na Mount OO
Marka ng Temperatura IBA
Tapos na ang Terminal Matte Tin (Sn)
Terminal Form GULL WING
Terminal Pitch 0.5 mm
Posisyon ng Terminal QUAD
Time@Peak Reflow Temperature-Max (mga) 30
Lapad 14 mm

 

 

Panimula ng Produkto

Ang Complex Programmable Logic Device (CPLD) ay isang application-specific Integrated Circuit (ASIC) sa LSI (Large Scale Integrated Circuit) Integrated Circuit).Ito ay angkop para sa control intensive digital system design, at ang delay control nito ay maginhawa.Ang CPLD ay isa sa pinakamabilis na lumalagong mga device sa mga integrated circuit.

Mga bahagi ng CPLD

Ang CPLD ay isang kumplikadong programmable logic device na may malakihang sukat at kumplikadong istraktura, na kabilang sa saklaw ng malakihangintegrated circuits.

Ang CPLD ay may limang pangunahing bahagi: logical array block, macro unit, extended product term, programmable wired array at I/O control block.

1. Logical Array Block (LAB)

Ang isang logical array block ay binubuo ng isang array ng 16 macro cell, at maraming LABS ay pinagsama-sama ng isang programmable array (PIA) at isang global bus

2. Macro unit

Ang macro unit sa MAX7000 series ay binubuo ng tatlong functional blocks: isang logical array, isang product selection matrix, at isang programmable register.

3. Pinahabang termino ng produkto

Ang isang termino ng produkto ng bawat macro cell ay maaaring ibalik sa lohikal na hanay.

4. Programmable wired array PIA

Ang bawat LAB ay maaaring konektado upang mabuo ang kinakailangang lohika sa pamamagitan ng programmable wired array.Ang pandaigdigang bus na ito ay isang programmable channel na maaaring kumonekta sa anumang pinagmumulan ng signal sa device sa destinasyon nito.

5. I/O control block

Ang I/O control block ay nagbibigay-daan sa bawat I/O pin na isa-isang i-configure para sa input/output at bidirectional na operasyon.

Paghahambing ng CPLD at FPGA

Bagama't parehoFPGAatCPLDay mga programmable na ASIC device at may maraming karaniwang katangian, dahil sa mga pagkakaiba sa istruktura ng CPLD at FPGA, mayroon silang sariling mga katangian:

1.Ang CPLD ay mas angkop para sa pagkumpleto ng iba't ibang algorithm at combinatorial logic, at ang FP GA ay mas angkop para sa pagkumpleto ng sequential logic.Sa madaling salita, ang FPGA ay mas angkop para sa flip-flop rich structure, habang ang CPLD ay mas angkop para sa flip-flop limited at product term rich structure.

2. Tinutukoy ng tuluy-tuloy na istraktura ng pagruruta ng CPLD na ang pagkaantala ng oras nito ay pare-pareho at mahuhulaan, habang tinutukoy ng naka-segment na istraktura ng pagruruta ng FPGA ang pagkaantala nito na hindi mahuhulaan.

3. Ang FPGA ay may higit na kakayahang umangkop kaysa sa CPLD sa programming.Ang CPLD ay na-program sa pamamagitan ng pagbabago ng logic function na may nakapirming panloob na circuit ng koneksyon, habang ang FPGA ay na-program sa pamamagitan ng pagbabago ng mga kable ng panloob na koneksyon.Maaaring ma-program ang FP GA sa ilalim ng logic gate, habang ang CPLD ay naka-program sa ilalim ng logic block.

4. Ang pagsasama ng FPGA ay mas mataas kaysa sa CPLD, at mayroon itong mas kumplikadong istraktura ng mga kable at pagpapatupad ng lohika.

5. Ang CPLD ay mas maginhawang gamitin kaysa sa FPGA.CPLD programming gamit ang E2PROM o FASTFLASH na teknolohiya, walang external memory chip, madaling gamitin.Gayunpaman, ang impormasyon ng programming ng FPGA ay kailangang maimbak sa panlabas na memorya, at ang paraan ng paggamit ay kumplikado.

6. Ang CPLDS ay mas mabilis kaysa sa FPgas at may mas mataas na oras na predictability.Ito ay dahil ang mga FPGA ay gate-level programming at ang mga distributed interconnection ay pinagtibay sa pagitan ng CLBS, habang ang CPLDS ay logic block-level programming at ang mga interconnection sa pagitan ng kanilang logic blocks ay pinagsama-sama.

7.Sa paraan ng pagprograma, ang CPLD ay pangunahing nakabatay sa E2PROM o FLASH memory programming, ang mga oras ng programming hanggang sa 10,000 beses, ang kalamangan ay ang system power off ang programming information ay hindi nawala.Ang CPLD ay maaaring nahahati sa dalawang kategorya: programming sa programmer at programming sa system.Karamihan sa FPGA ay nakabatay sa SRAM programming, ang impormasyon ng programming ay nawawala kapag ang system ay naka-off, at ang programming data ay kailangang isulat pabalik sa SRAM mula sa labas ng device sa tuwing ito ay naka-on.Ang kalamangan nito ay maaari itong ma-program anumang oras, at maaari itong mai-program nang mabilis sa trabaho, upang makamit ang dynamic na pagsasaayos sa antas ng board at antas ng system.

8.Maganda ang pagiging kompidensiyal ng CPLD, ang pagiging kompidensiyal ng FPGA ay hindi maganda.

9. Sa pangkalahatan, ang pagkonsumo ng kuryente ng CPLD ay mas malaki kaysa sa FPGA, at kung mas mataas ang antas ng pagsasama, mas malinaw.


  • Nakaraan:
  • Susunod:

  • Isulat ang iyong mensahe dito at ipadala ito sa amin